TOP STORIES

EDITOR'S PICKS
THE CONFAB
EDUCATION
BLOGS
Layout schema generation: Improving yield ramp during technology development
11/14/2018Layout schema generation generates random, realistic, DRC-clean layout patterns of the new design technology for use in te...
Third quarter silicon wafer shipments increase, set new quarterly record
11/07/2018Worldwide silicon wafer area shipments increased during the third quarter 2018, surpassing record second quarter 2018 area shipm...
New Applied Materials R&D center to help customers overcome Moore's Law challenges
11/16/2018Applied Materials, Inc. today announced plans for the Materials Engineering Technology Accelerator (META Center), ...
Micron announces mass production of industry's highest-capacity monolithic memory for mobile applications
11/07/2018Micron Technology, Inc. today announced that it has begun mass production of the industry's hig...
Tech Papers
Upcoming Webcasts
FD-SOI: An Optimal Technology for Integrated IoT and 5G Designs
Thursday, December 13, 2018 at 1 p.m. Eastern As digital-centric scaling pursues solutions in 3D device architectures such...
Lithography
Date and time TBDEUV lithography has been under intense development for years and appears to be close to...

MAGAZINE




TWITTER


NEWS ANALYSIS & FEATURES


Altair Semiconductor and JIG-SAW partner on LTE-enabled sensors for industrial IoT

11/21/2018  Altair Semiconductor announced today it has partnered with JIG-SAW Inc. to develop LTE-enabled sensors for a wide variety of global industrial IoT applications.

North American semiconductor equipment industry posts October 2018 billings

11/21/2018  October billings of North American equipment suppliers reflect near-term weakening of demand for PC, mobile phones and servers.

SEMI Global Trade Seminar in China focuses on shifting U.S.-Sino relations

11/21/2018  With China now a prominent player in the industry, it has become critically important for semiconductor companies to effectively engage with China.

SUNY Poly professors awarded $330,000 for two distinct cutting-edge nanotechnology-centered research projects

11/20/2018  Dr. Serge Oktyabrsky received $200,000 from the U.S. Department of Energy to develop next-gen scintillation detectors based on quantum dots to enable a better understanding of basic particles.

Mentor adds DECA Technologies to growing Mentor OSAT Alliance for high density advanced package (HDAP) designs

11/20/2018  Mentor, a Siemens business, today announced that DECA Technologies has become the latest member of Mentor's (outsourced assembly and test) OSAT Alliance.

Imec and CEA-Leti join forces on artificial intelligence and quantum computing

11/20/2018  Two research and innovation hubs in nanotechnologies for industry, announced that they have signed a memorandum of understanding (MoU) that lays the foundation of a strategic partnership in the domains of Artificial Intelligence and quantum computing, two key strategic value chains for European industry, to strengthen European strategic and economic sovereignty.

SEMICON Korea to showcase AI, smart manufacturing, talent

11/20/2018  With Korea expected to remain the world's largest consumer of semiconductor equipment, building on its 18 percent share in 2018, SEMICON Korea 2019 is poised to connect global electronics manufacturing companies to new opportunities.

Toshiba Machine launches new die casting machines for southeast Asian market

11/19/2018  Toshiba Machine Co., Ltd. has developed the new DC-KT Series Die Casting Machines to meet the needs of the Southeast Asian market and has started sales and production at its plant in Thailand.

Flat panel display equipment spending expected to decline through 2020

11/19/2018  The flat panel display (FPD) equipment market is expected to start to decline after an unprecedented build-up in 2017 as panel makers take a more cautious approach as they wait for demand to catch up to rapidly ramping capacity.

Solution for next generation nanochips comes out of thin air

11/19/2018  The secret ingredient for the next generation of more powerful electronics could be air, according to new research.

Computational chemistry supports research on new semiconductor technologies

11/19/2018  Functionalizing silicon with other compounds could make light-based signaling feasible.

Outlook remains bright for automotive electronic systems growth

11/19/2018  Despite some high-profile setbacks with autonomous vehicles, auto electronic systems growth projects well; remains a hotbed for semiconductor growth.

SiFive appoints VP to growing SoC IP Group

11/16/2018  SiFive, a provider of commercial RISC-V processor IP, today announced the appointment of Mohit Gupta as vice president of SoC IP.

SFU scientists described the course of reactions in two-layer thin metal films

11/16/2018  A team of researchers from Siberian Federal University (SFU) obtained thin copper/gold and iron/palladium films and studied the reactions that take place in them upon heating. Knowing these processes, scientists will be able to improve the properties of materials currently used in microelectronics.

Six leading startups driving Japan's AI development

11/15/2018  Artificial intelligence (AI) is on the verge of transforming entire industries as it gears up to power semiconductor industry innovation and growth, thrusting the technology to front and center at SEMICON Japan 2019, December 12-14 at the Tokyo Big Sight (Tokyo International Exhibition Center).

Cabot Microelectronics Corporation completes acquisition of KMG Chemicals

11/15/2018  Cabot Microelectronics Corporation (Nasdaq: CCMP), today announced that it has completed its previously announced acquisition of KMG Chemicals, Inc. 

EV Group partners with Plessey to drive GaN-on-Silicon monolithic microLED technology for AR applications

11/15/2018  Plessey, a developer of award-winning optoelectronic technology solutions, announces a collaboration with EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, to bring high-performance GaN-on-Silicon (GaN-on-Si) monolithic microLED technology to the mass market.

MEMS and sensors in autonomous and electric vehicles: Key takeaways from IHS Markit at MSEC

11/15/2018  IHS Markit’s Jérémie Bouchaud provided a closer look at and outlook for this key market at the MEMS and Sensors Executive Congress in late October in Napa. Following are key takeaways from his presentation.

FormFactor receives SK hynix Supplier Excellence Award

11/14/2018  FormFactor, Inc., an electrical test and measurement supplier to the semiconductor industry, announced that it has been recognized for supplier excellence by SK hynix.

MORE ANALYSIS & FEATURES

RESOURCE GUIDE - Get Listed


Latest Article

Kinetics acquires Mega Fluid Systems

03/30/2018  According to the details of the agreement, Mega Fluid Systems will operate as a Kinetics company, but will maintain its brand and product line.



Categories


Featured Supplier


Yield Engineering Systems, Inc.: http://www.yieldengineering.com



VIDEOS

RECOMMENDED TECHNOLOGY PAPERS

Keysight's Parametric Measurement Handbook (Rev 4)

Keysight Technologies' popular 276-page Parametric Measurement Handbook is an invaluable reference tool for anyone performing device or process characterization. It is filled with tips to help both novice and advanced users, and the latest edition (Rev 4) includes an entirely new section devoted to power device test.September 13, 2018
Sponsored by Keysight Technologies

Jumpstart Your Reliability Verification with Foundry-Supported Rule Decks

For many, formal reliability verification is a new process. Foundry-qualified and foundry-maintained reliability rule decks enable design and IP companies alike to establish baseline robustness and reliability criteria without committing extensive time and resources to the creation and support of proprietary verification solutions. In addition, as reliability verification needs expand, customer demand drives the development and qualification of new and augmented reliability rules.August 30, 2018
Sponsored by Mentor Graphics

Maximize uptime and optimize maintenance with AMS

Yukinobu Hayashi, Senior Field Applications Engineer from CyberOptics, explains the application uses of the WaferSense® Auto Multi Sensor™ (AMS) for Leveling, Vibration, and Relative Humidity (RH) that facilitates maximizing uptime and optimizes maintenance. The combination of these attributes in a thin wafer shaped all-in-one wireless sensor, provides engineers the ability to acquire highly repeatable measurements of tilt, vibration, and humidity while under a vacuum and without venting a chamber. The quantitative numeric results give engineers an objective basis for comparisons, and analysis that can be reproducible across multiple users over extended periods of time. June 13, 2018
Sponsored by CyberOptics

More Technology Papers

WEBCASTS

FD-SOI: An Optimal Technology for Integrated IoT and 5G Designs

Thursday, December 13, 2018 at 1 p.m. Eastern

As digital-centric scaling pursues solutions in 3D device architectures such as gate-all-around (GAA) transistors, most applications in new leading-edge growth markets such as Edge AI/ML, IoT, automotive and 5G mmWave demand post-bulk processing power and also next-generation analog and RF performance. This webinar is an overview of production-proven fully-depleted silicon-on-insulator (FD-SOI), the only post-bulk planar transistor technology. We will explain and demonstrate how FD-SOI delivers FinFET-like performance, excellent mismatch and noise characteristics and best-in-class Ft and Fmax required for energy-efficient mmWave applications.

Sponsored By:
Lithography

Date and time TBD

EUV lithography has been under intense development for years and appears to be close to production. Yet its delay has the industry searching for alternatives, including double, triple and even quadruple patterning, directed self-assembly, multi-e-beam and nanoimprint. In this webcast, experts will detail various options, future scenarios and challenges that must still be overcome.

Sponsored By:

Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

More Webcasts

EVENTS

EPTC 2018
Singapore
http://www.eptc-ieee.net/pages/home/2018
December 04, 2018 - December 07, 2018
Heterogeneous Integration Symposium
Milpitas, CA
http://www.meptec.org/2018Q4/
December 05, 2018 - December 05, 2018
SPIE Advanced Lithography
San Jose, CA
http://SPIE.org/ral19sstvw
February 24, 2019 - February 28, 2019
LithoVision
San Jose, California
https://lithovision.com
February 24, 2019 - February 24, 2019
LED Expo Thailand
Bangkok, Thailand
http://www.ledexpothailand.com/
June 27, 2019 - June 29, 2019


More Events

NEW PRODUCTS

KLA-Tencor announces new defect inspection systems
07/12/2018KLA-Tencor Corporation announced two new defect inspection products at SEMICON West this week, addressing two key challenges in tool and process monit...
3D-Micromac unveils laser-based high-volume sample preparation solution for semiconductor failure analysis
07/09/2018microPREP 2.0 provides order of magnitude time and cost savings compared to traditional sample...
Leak check semiconductor process chambers quickly and reliably
02/08/2018INFICON,a manufacturer of leak test equipment, introduced the UL3000 Fab leak detector for semiconductor manufacturing maintenance teams t...